論文誌,ジャーナル: 48

  1. 奥田尚樹, 前田香織, 高野知佐, 市原英行, "在庫管理システムの解析手法を用いたマルチクラウドの計算機資源の推定について," 電子情報通信学会論文誌B, No. 2, pp. 63-71, 2024年2月.
  2. 奥田尚樹, 前田香織, 高野知佐, 市原英行, "拡散型フロー制御を用いる DDoS 攻撃緩和方式の有効性評価," 情報処理学会論文誌, Vol. 69, No. 3, 2022年9月.
  3. 可児冬弥, 市原英行, 岩垣剛, 井上智生, "シグモイド関数のゲインに着目した 完全ストカスティック計算ニューロンの設計," 電子情報通信学会論文誌D, Vol. J104-D, No. 7, 2021年7月.
  4. 市原英行, 溝畑亮雅, 芦田満喜, 井上智生, "アプリケーションの要求精度に応じた近似加算器と補正機構による乗算器の設計," 電子情報通信学会論文誌D, Vol. J104-D, No. 7, 2021年7月.
  5. Hideyuki Ichihara, Motoi Fukuda, Tsuyoshi Iwagaki, Tomoo Inoue, "Transient Fault Tolerant State Assignment for Stochastic Computing Based on Linear Finite State Machines," IEICE Trans. Fundamentals, Vol. E103-A, No. 12, pp. 1464-1471, 2020.
  6. Hideyuki Ichihara, Tatsuyoshi Sugino, Shota Ishii, Tsuyoshi Iwagaki, Tomoo Inoue, "Compact and Accurate Digital Filters Based on Stochastic Computing," Trans. on Emerging Topics in Comp., Sep 2016.
  7. 深澤祐樹, 市原英行, 井上智生, "組込み自己テストにおける巡回符号を用いた同時テスト可能な応答圧縮器," 電子情報通信学会論文誌D, Vol. J95-D, No. 3, pp. 496-505, 2012年3月.
  8. Yuki Yoshikawa, Tomomi Nuwa, Hideyuki Ichihara, Tomoo Inoue, "Hybrid Test Application in Partial Skewed-load Scan Design," IEICE Trans. Fundamentals, Vol. E94-A, No. 12, pp. 2571-2578, Dec. 2011.
  9. Tsuyoshi Iwagaki, Eiri Takeda, Mineo Kaneko, "Flexible test scheduling for an asynchronous on-chip interconnect through special data transfer," IEICE Trans. on Fundamentals, Vol. E94-A, No. 12, pp. 2563-2570, Dec. 2011.
  10. Keisuke Inoue, Mineo Kaneko, Tsuyoshi Iwagaki, "Backward-data-direction clocking and relevant optimal register assignment in datapath synthesis," IEICE Trans. Fundamentals, Vol. E94-A, No. 4, pp. 1067-1081, Apr. 2011.
  11. Hideyuki Ichihara, Kenta Sutoh, Yuki Yoshikawa, Tomoo Inoue, "A Practical Threshold Test Generation for Error Tolerant Application," IEICE Trans. Inf. & Syst., Vol. E93-D, No. 10, pp. 2776-2782, Oct. 2010.
  12. Tomokazu Yoneda, Akiko Shuto, Hideyuki Ichihara, Tomoo Inoue, Hideo Fujiwara, "Design and Optimization of Transparency-Based TAM for SoC Test," IEICE Trans. Inf. & Syst., Vol. E93-D, No. 6, pp. 1549-1559, June 2010.
  13. 岡伸也, Ooi, Chia Yee, 市原英行, 井上智生, 藤原秀雄, "部分スルー可検査性に基づく順序回路のテスト生成法," 電子情報通信学会論文誌D, Vol. J92-D, No. 12, 2009年12月.
  14. Keisuke Inoue, Mineo Kaneko, Tsuyoshi Iwagaki, "Optimal register assignment with minimum-path delay compensation for variation-aware datapaths," IEICE Trans. Fundamentals, Vol. E92-A, No. 4, pp. 1096-1105, Apr. 2009.
  15. Keisuke Inoue, Mineo Kaneko, Tsuyoshi Iwagaki, "Novel register sharing in datapath for structural robustness against delay variation," IEICE Trans. on Fundamentals, Vol. E91-A, No. 4, pp. 1044-1053, Apr. 2008.
  16. Tomoo Inoue, Takashi Fujii, Hideyuki Ichihara, "A Self-Test of Dynamically Reconfigurable Processors with Test Frames," IEICE Trans. Inf. & Syst., Vol. E91, No. 3, pp. 756-762, Mar. 2008.
  17. Hideyuki Ichihara, Tomoyuki Saiki, Tomoo Inoue, "An Architecture of Embedded Decompressor with Reconfigurability for Test Compression," IEICE Trans. Inf. & Syst., Vol. E91, No. 3, pp. 713-719, Mar. 2008.
  18. Hideyuki Ichihara, Toshimasa Ohara, Michihiro Shintani, Tomoo Inoue, "A Variable-length Coding Adjustable for Compressed Test Application," IEICE Trans. Inf. & Syst., Vol. E90, No. 8, pp. 1235-1242, Aug. 2007.
  19. 市原英行, 口井敏匡, 山達正明, 坂口英明, 植村博, 樹下行三, "イメージセンサに対する統計型エラーモデルとそのテスト手法について," 電子情報通信学会論文誌D-I, Vol. J89-D, No. 8, pp. 1663-1672, 2006年8月.
  20. Hideyuki Ichihara, Masakuni Ochi, Michihiro Shintani, Tomoo Inoue, "An Adaptive Decompressor for Test Application with Variable-Length Coding," IPSJ Journal, Vol. 47, No. 6, pp. 1639-1647, June 2006.
  21. Zhiqiang You, Tsuyoshi Iwagaki, Michiko Inoue, Hideo Fujiwara, "A low power deterministic test using scan chain disable technique," IEICE Trans. Inf. & Syst., Vol. E89-D, No. 6, pp. 1931-1939, June 2006.
  22. 岡伸也, 市原英行, 井上智生, "無閉路部分スキャン設計を指向したテスト容易化高位合成におけるスケジューリングの高速化," 情報科学技術レターズ(FIT2005), pp. 41-44, 2005年1月.
  23. 市原英行, 井上智生, "高圧縮可能かつコンパクトなテスト生成について," 電子情報通信学会論文誌D-I, Vol. J88-D-I, No. 6, pp. 1021-1028, 2005年6月.
  24. Hideyuki Ichihara, Michihiro Shintani, Tomoo Inoue, "Huffman-Based Test Response Coding," IEICE Trans. Inf. & Syst., Vol. E88-D, No. 1, pp. 158-161, Jan. 2005.
  25. Tsuyoshi Iwagaki, Satoshi Ohtake, Hideo Fujiwara, "A design scheme for delay testing of controllers using state transition information," IEICE Trans. Fundamentals, Vol. E87-A, No. 12, pp. 3200-3207, Dec. 2004.
  26. 樋上喜信, 梶原誠司, 市原英行, 高松雄三, "論理回路に対するテストコスト削減法 -テストデータ量および実行時間の削減-," 電子情報通信学会論文誌 D-I, Vol. J87-D-I, No. 3, pp. 291-307, 2004年3月.
  27. Hideyuki Ichihara, Tomoo Inoue, "A Method of Test Generation for Acyclic Sequential Circuits Using Single Stuck-at Fault Combinational ATPG," IEICE Trans. Fundamentals, Vol. E86-A, No. 12, pp. 3072-3078, Dec. 2003.
  28. 岩垣剛, 大竹哲史, 藤原秀雄, "不連続再収斂順序回路の遅延故障に対するテスト生成法," 電子情報通信学会論文誌 (DI), Vol. J86-D-I, No. 12, pp. 872-883, 2003年12月.
  29. Hideyuki Ichihara, Atsuhiro Ogawa, Tomoo Inoue, Akio Tamura, "Test Generation for Test Compression Based on Statistical Coding," IEICE Trans. Inf. & Syst., Vol. E85-D, No. 10, pp. 1466-1473, Oct. 2002.
  30. Hideyuki Ichihara, Seiji Kajihara, Kozo Kinoshita, "On Processing Order for Obtaining Implication Relations in Static Learning," IEICE Trans. Inf. & Syst., Vol. E83-D, No. 10, pp. 1908-1911, Oct. 2000.
  31. 佐野ちいほ, 三原隆宏, 井上智生, Das, D. K., 藤原秀雄, "ホールド機能を考慮した順序回路のテスト容易化設計法," 電子情報通信学会論文誌(DI), Vol. J83-D-I, No. 9, pp. 981-990, 2000年9月.
  32. 高崎智也, 井上智生, 藤原秀雄, "無閉路部分スキャン設計に基づくデータパスのテスト容易化高位合成におけるバインディング手法," 電子情報通信学会論文誌 (DI), Vol. J83-D-I, No. 2, pp. 282-292, 2000年2月.
  33. 市原英行, 梶原誠司, 樹下行三, "テスト数制限下でのテスト入力集合選択手法について," 電子情報通信学会誌 D-I, Vol. J82-D-I, No. 7, pp. 861-868, 1999年7月.
  34. 細川利典, 井上智生, 平岡敏洋 , 藤原秀雄, "時間展開モデルを用いた無閉路順序回路のテスト系列圧縮方法," 電子情報通信学会論文誌(DI), Vol. J82-D-I, No. 7, pp. 869-878, 1999年7月.
  35. Hiroyuki Michinishi, Tokumi Yokohira, T. Okamoto, Tomoo Inoue, Hideo Fujiwara, "Testing for the programming circuit of SRAM-based FPGAs," IEICE Trans. Inf. & Syst., Vol. E82-D, No. 6, pp. 1051-1057, June 1999.
  36. Hideyuki Ichihara, Kozo Kinoshita, "Logic Optimization: Redundancy Addition and Removal Using Implication Relations," IEICE Trans. Inf. and Syst., Vol. E81-D, No. 7, pp. 724-730, July 1998.
  37. 高崎智也, 井上智生, 藤原秀雄, "内部平衡構造に基づく部分スキャン設計法の考察," 電子情報通信学会論文誌(DI), No. 3, pp. 318-327, 1998年3月.
  38. Tomoo Inoue, Satoshi Miyazaki, Hideo Fujiwara, "Universal fault diagnosis for lookup table FPGAs," IEEE Design & Test of Computers, Vol. 15, No. 1, pp. 39-44, Jan. 1998.
  39. 大竹哲史, 井上智生, 藤原秀雄, "回路疑似変換による順序回路テスト生成の一手法," 情報処理学会論文誌, Vol. 38, No. 5, pp. 1040-1049, 1997年5月.
  40. 市原英行, 梶原誠司, 樹下行三, "部分回路除去に対する含意関係の不変性について," 電子情報通信学会誌 D-I, Vol. J79-D-I, No. 12, pp. 1037-1045, 1996年12月.
  41. 道西博行, 横平徳美, 岡本卓爾, 井上智生, 藤原秀雄, "テーブル参照型FPGAにおける論理ブロックの検査," 電子情報通信学会論文誌 (DI), Vol. J79-D-I, No. 12, pp. 1141-1150, 1996年12月.
  42. 四浦洋, 井上智生, 増澤利光, 藤原秀雄, "部分スキャンによる同期化可能な有限状態機械の合成について," 電子情報通信学会論文誌(DI), Vol. J79-D-I, No. 12, pp. 1046-1054, 1996年12月.
  43. Tomoo Inoue, Takashi Fujii, Hideo Fujiwara, "Performance analysis of parallel test generation for combinational circuits," IEICE Trans. on Information and Systems, Vol. E79-D, No. 9, pp. 1257-1265, Sept. 1996.
  44. Tomoo Inoue, H. Maeda, Hideo Fujiwara, "On the effect of scheduling in test generation," IEICE Trans. on Information and Systems, Vol. E79-D, No. 8, pp. 1190-1197, Aug. 1996.
  45. Hideo Fujiwara, Tomoo Inoue, "Optimal granularity and scheme of parallel test generation in a distributed system," IEEE Trans. on Parallel and Distributed Systems, Vol. 6, No. 7, pp. 677-686, July 1995.
  46. Tomoo Inoue, Tomoki Yonezawa, Hideo Fujiwara, "Optimal granularity of parallel test generation on the Client-Agent-Server model," Trans. of Information Processing Society of Japan, Vol. 35, No. 8, pp. 1614-1623, Aug. 1994.
  47. 井上智生, 米澤友紀, 藤原秀雄, "テスト生成における並列処理の最適なシステム構成について," 電子情報通信学会論文誌(DI), Vol. J76-D-I, No. 11, pp. 604-612, 1993年11月.
  48. Hideo Fujiwara, Tomoo Inoue, "Optimal granularity of test generation in a distributed system," IEEE Trans. on Computer-Aided Design, No. 8, pp. 885-892, Aug. 1990.

解説記事,コラム: 3

  1. 井上智生, "半導体集積回路の欠陥とテスト技術," 電子情報通信学会誌, Vol. 103, No. 1 , pp. 62-67, 2020年1月.
  2. Tomoo Inoue, "A high-level approach to test design," Session 7: Testing, SEMI Technology Symposium 2008, 2008.
  3. 細川利典, 井上智生, "LSIのRTレベルにおけるテスト容易化設計技術," 電子情報通信学会情報・システムソサイエティ誌, Vol. 8, No. 4, pp. 6-8, 2004年2月.

国際会議,研究会・ワークショップ(査読あり): 116

  1. Tamaki Kozuma, Qiling Wang, Hideyuki Ichihara, Tomoo Inoue, "Reliability Analysis of Approximate Multipliers with Recovery Schemes," IEEE Proc. Asian Test Symposium, 2023.
  2. Hideyuki Ichihara, Naruki Itoh, Tomoo Inoue, "An Improvement of the No-Reference Test Scheme Based on False Edge Detection for Image Processing Application," Proc. ITC-Asia, 2022.
  3. Hideyuki Ichihara, Kazunori Yukihiro, Tomoo Inoue, "A Design of Approximate Voting Schemes for Fail-Operational Systems," IEEE Proc. Asian Test Symposium, 2021.
  4. Hideyuki Ichihara, Takayuki FUKUDA, Tomoo Inoue, "A Design of Reliable Linear FSMs with Equivalent States in Stochastic Computing," IEEE Proc. of International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, 2021.
  5. Hideyuki Ichihara, Tomohiro Adachi , Tomoo Inoue, "Experimental Evaluation of the No-Reference Test Based of False Edge Detection for Image Processing Application," Digest of Papers 21st IEEE Workshop on RTL and High Level Testing, 2020.
  6. Tomoo Inoue, Kazunori Yukihiro, Hideyuki Ichihara, "Extension of an Approximate Voting Scheme IDMR for Fail-Operational Systems," Digest of Papers 20th IEEE Workshop on RTL and High Level Testing (WRTLT '19), 2019.
  7. Hideyuki Ichihara, Yuki Maeda, Tsuyoshi Iwagaki, Tomoo Inoue, "State Encoding with Stochastic Numbers for Transient Fault Tolerant Linear Finite State Machines," IEEE Proc. of International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, 2019.
  8. Tsuyoshi Iwagaki, Sho Yuasa, Hideyuki Ichihara, Tomoo Inoue, "An empirical approach to RTL scan path design focusing on structural interpretation in logic synthesis," Proc. 3rd IEEE International Test Conference in Asia (ITC-Asia '19), pp. 55-60, 2019.
  9. Sho Yuasa, Tsuyoshi Iwagaki, Hideyuki Ichihara, Tomoo Inoue, "Effective Utilization of Register-Transfer Paths Based on Enhancing Multiplexer Functions in RTL Scan Design," Digest of Papers 19th IEEE Workshop on RTL and High Level Testing (WRTLT '18), pp. 1-6, Oct 2018.
  10. Tsuyoshi Iwagaki, Sho Yuasa, Hideyuki Ichihara, Tomoo Inoue, "Experimental evaluation of test cost reduction by scan chain testing in RTL scan circuits," Digest of Papers 18th IEEE Workshop on RTL and High Level Testing (WRTLT '17), pp. 1-6, Nov 2017.
  11. Hideyuki Ichihara, Motoi Fukuda, Tsuyoshi Iwagaki, Tomoo Inoue, "State Assignment for Fault Tolerant Stochastic Computing with Linear Finite State Machines," Proc. ITC-Asia, Sep 2017.
  12. Hideyuki Ichihara, Motoi Fukuda, Tsuyoshi Iwagaki, Tomoo Inoue, "Impact of State Assignment on Error Resilient Stochastic Computing with Linear Finite State Machines," Digest of Papers 17th IEEE Workshop on RTL and High Level Testing , Nov 2016.
  13. Tsuyoshi Iwagaki, Kohta Itani, Hideyuki Ichihara, Tomoo Inoue, "Exploration of Four-Phase Dual-Rail Asynchronous RTL Design for Delay-Robustness," Digest of Papers 17th IEEE Workshop on RTL and High Level Testing , Nov 2016.
  14. Naoya Kubota, Hideyuki Ichihara, Tsuyoshi Iwagaki, Tomoo Inoue, "Stochastic Number Generation with Internal Signals of Logic Circuits," Proc. SASIMI, Oct 2016.
  15. Tsuyoshi Iwagaki, Syoichi Ohmoto, Hideyuki Ichihara, Tomoo Inoue, "A Prototype of a Hardware SAT Solver for Similar Large Instances and Its Application to Test Generation," Digest of Papers 16th IEEE Workshop on RTL and High Level Testing (WRTLT '15), Nov 2015.
  16. Hideyuki Ichihara, Tomoya Inaoka, Tsuyoshi Iwagaki, Tomoo Inoue, "Logic Simplification by Minterm Complement for Error Tolerant Application," Porc. ICCD, pp. 102-108, Oct 2015.
  17. Tsuyoshi Iwagaki, Yutaro Ishimori, Hideyuki Ichihara, Tomoo Inoue, "Designing area-efficient controllers for multi-cycle transient fault tolerant systems," Proc. 20th IEEE European Test Symposium (ETS '15), May 2015.
  18. Hideyuki Ichihara, Junpei Kamei, Tsuyoshi Iwagaki, Tomoo Inoue, "A practical approach for logic simplification based on fault acceptability for error tolerant application," Proc. 20th IEEE European Test Symposium (ETS '15), May 2015.
  19. Yuki Fukazawa, Hideyuki Ichihara, Tomoo Inoue, "A Fault Tolerant Response Analyzer with Self-Error-Correction Capability," Proc. European Test Symp., May 2015.
  20. Tsuyoshi Iwagaki, Yutaro Ishimori, Tatsuya Nakaso, Hideyuki Ichihara, Tomoo Inoue, "A controller design in high-level synthesis for long duration transient fault tolerance," Digest of Papers 15th IEEE Workshop on RTL and High Level Testing (WRTLT '14), Nov. 2014.
  21. Hideyuki Ichihara, Daiki Sunamori, Shota Ishii, Tsuyoshi Iwagaki, Tomoo Inoue, "Compact and Accurate Stochastic Circuits with Shared Random Number Sources," Proc. IEEE International Conference on Computer Design, pp. 361-366, Oct 2014.
  22. Tsuyoshi Iwagaki, Tatsuya Nakaso, Ryoko Ohkubo, Hideyuki Ichihara, Tomoo Inoue, "A scheduling algorithm in datapath synthesis for long duration transient fault tolerance," Proc. 17th IEEE Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems (DFT '14), pp. 128--133, Oct. 2014.
  23. Hideyuki Ichihara, Tsuyoshi Iwagaki, Tomoo Inoue, "A System-Error-Rate-Oriented Approach to Test Generation for Effective Yield Maximization," IEEE International Workshop on Reliability Aware System Design and Test, Jan. 2014.
  24. Yuki Fukazawa, Tsuyoshi Iwagaki, Hideyuki Ichihara, Tomoo Inoue, "A Transient Fault Tolerant Test Pattern Generator for On-line Built-in Self-test," Proc. 22nd IEEE Asian Test Symp., Nov. 2013.
  25. Yuki Fukazawa, Tsuyoshi Iwagaki, Hideyuki Ichihara, Tomoo Inoue, "A Design of Error Correctable Response Analyzers for Reliable Built-in Self-test," Digest of Papers 14th IEEE Workshop on RTL and High Level Testing, Nov. 2013.
  26. Tsuyoshi Iwagaki, Tatsuya Nakaso, Ryoko Ohkubo, Hideyuki Ichihara, Tomoo Inoue, "A Heuristic Algorithm for Operational Unit Binding to Synthesize Multi-Cycle Transient Fault Tolerant Datapaths," Digest of Papers 14th IEEE Workshop on RTL and High Level Testing, Nov. 2013.
  27. Tsuyoshi Iwagaki, Takehiro Mikami, Hideyuki Ichihara, Tomoo Inoue, "Utilizing register transfer level false paths for circuit optimization with a logic synthesis tool," Proc. IEEE Asia Pacific Conference on Circuits and Systems, pp. 615--618, Dec. 2012.
  28. Yuki Fukazawa, Tsuyoshi Iwagaki, Hideyuki Ichihara, Tomoo Inoue, "A Study on Error Correctable Test Pattern Generator for Reliable Built-in Self Test ," Workshop on RTL and High Level Testing, Nov. 2012.
  29. Tsuyoshi Iwagaki, Hideyuki Ichihara, Tomoo Inoue, Kewal K. Saluja, "Exact and Heuristic Methods of Generating Compact Tests for Hold-time Violations," Workshop on RTL and High Level Testing, Nov. 2012.
  30. Hideyuki Ichihara, Noboru Shimizu, Tsuyoshi Iwagaki, Tomoo Inoue, "Modeling Economics of LSI Design and Manufacturing for Test Design Selection," Proc. ICCD, Oct. 2012.
  31. Kenji Ueda, Fumiyuki Hafuri, Toshiya Mukai, Tsuyoshi Iwagaki, Hideyuki Ichihara, Tomoo Inoue, "A technique for SAT-based test generation through history of reusing solutions," Proc. 17th Workshop on Synthesis and System Integration of Mixed Information Technologies (SASIMI ’12), pp. 197-198, Mar. 2012.
  32. Hideyuki Ichihara, Yuka Iwamoto, Yuki Yoshikawa, Tomoo Inoue, "Test Compression Based on Lossy Image Encoding," IEEE Asian Test Symp. (ATS), pp. 273-278, Nov. 2011.
  33. Tsuyoshi Iwagaki, Fumiyuki Hafuri, Kenji Ueda, Toshiya Mukai, Hideyuki Ichihara, Tomoo Inoue, "An approach to hardware SAT solvers for test generation based on instance similarity," 12th IEEE Workshop on RTL and High Level Testing, pp. 69-74, Nov. 2011.
  34. Tomoo Inoue, Hayato Henmi, Yuki Yoshikawa, Hideyuki Ichihara, "High-Level Synthesis for Multi-Cycle Transient Fault Tolerant Datapaths," Proc. IEEE Int. On-Line Testing Symp. (IOLTS), pp. 13-18, July 2011.
  35. Tsuyoshi Iwagaki, Kewal K. Saluja, "Power-constrained test generation for hold-time faults using integer linear programming," Proc. 4th IEEE International Workshop on Impact of Low-Power Design on Test and Reliability (LPonTR '11), pp. 1-2, May 2011.
  36. Tsuyoshi Iwagaki, Kewal K. Saluja, "Indirect detection of clock skew induced hold-time violations on functional paths using scan shift operations," Proc. 14th IEEE Symposium on Design and Diagnostics of Electronic Circuits and Systems (DDECS '11), pp. 175-178, Apr. 2011.
  37. Seiji Hirota, Ke Wang, Yuki Yoshikawa, Hideyuki Ichihara, Tomoo Inoue, "Experimental Evaluation of Hybrid RTL Scan Design," Workshop on RTL and High Level Testing, Dec. 2010.
  38. Nobuya Oka, Yuki Yoshikawa, Hideyuki Ichihara, Tomoo Inoue, "A New Class of Acyclically Testable Sequential Circuits with Multiplexers," Workshop on RTL and High Level Testing, Dec. 2010.
  39. Tsuyoshi Iwagaki, Eiri Takeda, Mineo Kaneko, "An approach to test scheduling for asynchronous on-chip interconnects using integer programming," Digest of Papers 11th IEEE Workshop on RTL and High Level Testing (WRTLT '10), pp. 69-74, Dec. 2010.
  40. Yuki Fukazawa, Yuki Yoshikawa, Hideyuki Ichihara, Tomoo Inoue, "A Design of Response Analyzers with Self-Distinguishability in Built-in Self-Test ," International Symposium on Communications and Information Technologies (ISCIT), pp. 732-735, Oct. 2010.
  41. 三上雄大, 吉川祐樹, 市原英行, 井上智生, "論理合成における面積・遅延最適化のためのフォールスパスの活用について," 情報科学技術フォーラム講演論文集, Vol. 9, No. 1, pp. 59-64, 2010年8月.
  42. 志水昂, 深澤祐樹, 吉川祐樹, 市原英行, 井上智生, "組込み自己テストにおける救済可能テスト生成器," 情報科学技術フォーラム講演論文集, Vol. 9, No. 1, pp. 109-114, 2010年8月.
  43. Ryoji Noji, Satoshi Fujie, Yuki Yoshikawa, Hideyuki Ichihara, Tomoo Inoue, "An FPGA-Based Fail-soft System with Adaptive Reconfiguration," 16th IEEE International On-Line Testing Symposium, pp. 127-132, July 2010.
  44. Yuki Yoshikawa, Tomomi Nuwa, Hideyuki Ichihara, Tomoo Inoue, "Hybrid Test Application in Hybrid Delay Scan Design," IEEE Proc. ETS, pp. 247, May 2010.
  45. Tsuyoshi Iwagaki, Eiri Takeda, Mineo Kaneko, "Test scheduling algorithms for delay-insensitive chip area interconnects based on cone partitioning," Proc. 3rd International Workshop on the Impact of Low-Power Design on Test and Reliability (LPonTR '10), pp. 1-2, May 2010.
  46. Tomoo Inoue, Nobukazu Izumi, Yuki Yoshikawa, Hideyuki Ichihara, "A Fast Threshold Test Generation Algorithm Based on 5-Valued Logic," IEEE Proc. DELTA, pp. 345-349, Jan. 2010.
  47. Hideyuki Ichihara, Yujiro Amano, Yuki Yoshikawa, Tomoo Inoue, "A Yield Model of Design for Testability and Repairability," IEEE Proc. RASDAT, pp. 23-28, Jan. 2010.
  48. Tsuyoshi Iwagaki, Mineo Kaneko, "A pseudo-boolean technique for generating compact transition tests with all-output-propagation properties," Proc. IEEE International Symposium on Electronic Design, Test and Applications (DELTA '10), pp. 293-296, Jan. 2010.
  49. Hideyuki Ichihara, Kenta Sutoh, Yuki Yoshikawa, Tomoo Inoue, "A Practical Approach to Threshold Test Generation for Error Tolerant Circuits," IEEE Proc. ATS, Nov. 2009.
  50. Yuki Fukazawa, Yuki Yoshikawa, Hideyuki Ichihara, Tomoo Inoue, "A Design of Concurrently Testable Response Analyzers in Built-in Self-Test," IEEE Digest Papers of WRTLT, pp. 88-93, Nov. 2009.
  51. Ryoji Noji, Satoshi Fujie, Yuki Yoshikawa, Hideyuki Ichihara, Tomoo Inoue, "Reliability and Performance Analysis of FPGA-Based Fault Tolerant System," IEEE Proc. DFTS, pp. 245-253 , Oct. 2009.
  52. Kazuko Hiramoto, Yuki Yoshikawa, Hideyuki Ichihara, Tomoo Inoue, "Test Data Reduction by Test Point Insertion Based on Necessary Assignment," Proc. European Test Symposium (CD-ROM), 2009.
  53. Nobuya Oka, Chia Yee Ooi, Hideyuki Ichihara, Tomoo Inoue, Hideo Fujiwara, "Test Generation and DFT Based on Partial Thru Testability," Proc. European Test Symposium (CD-ROM), May 2009.
  54. Yuki Yoshikawa, Satoshi Ohtake, Tomoo Inoue, Hideo Fujiwara, "A synthesis method to alleviate over-testing of delay faults based on RTL don't care path identification," VLSI test symposium (VTS09), pp. 71-76, May 2009.
  55. Keisuke Inoue, Mineo Kaneko, Tsuyoshi Iwagaki, "Safe clocking for the setup and hold timing constraints in datapath synthesis," Proc. 19th ACM Great Lakes symposium on VLSI (GLSVLSI '09), pp. 27-32, May 2009.
  56. Keisuke Inoue, Mineo Kaneko, Tsuyoshi Iwagaki, "A conjecture on the number of extra registers in safe clocking-based register assignment," Proc. 15th Workshop on Synthesis and System Integration of Mixed Information Technologies (SASIMI '09), pp. 131-136, Mar. 2009.
  57. Tsuyoshi Iwagaki, Mineo Kaneko, "On the derivation of a minimum test set in high quality transition testing," Proc. IEEE Latin-American Test Workshop (LATW '09), pp. 1-6, Mar. 2009.
  58. Yuki Yoshikawa, Satoshi Ohtake, Tomoo Inoue, Hideo Fujiwara, "Fast False Path Identification Based on Functional Unsensitizability Using RTL Information," Proc. the 14th Asia and South Pacific Design Automation Conference (ASP-DAC), pp. 660-665, Jan. 2009.
  59. Ryoji Noji, Satoshi Fujie, Yuki Yoshikawa, Hideyuki Ichihara, Tomoo Inoue, "Reliability and Performance of FPGA-Based Fault Tolerant Systems," Digest of Papers of 9th Workshop on RTL and High-Level Testing (WRTLT'08), pp. 75-80, Nov. 2008.
  60. Hideyuki Ichihara, Kazuko Hiramoto, Yuki Yoshikawa, Tomoo Inoue, "A Method for Test Data Reduction by Test Point Insertion Based on Necessary Assignment," Digest of Papers of 9th Workshop on RTL and High-Level Testing(WRTLT'08), pp. 105-110, 2008.
  61. Keisuke Inoue, Mineo Kaneko, Tsuyoshi Iwagaki, "Safe clocking register assignment in datapath synthesis," Proc. IEEE International Conference on Computer Design (ICCD '08), pp. 120-127, Oct. 2008.
  62. Keisuke Inoue, Mineo Kaneko, Tsuyoshi Iwagaki, "Minimizing minimum delay compensations for timing variation-aware datapath synthesis," Proc. IEEE Mid-West Symposium on Circuits and Systems (MWSCAS '08), pp. 97-100, Aug. 2008.
  63. Tsuyoshi Iwagaki, Satoshi Ohtake, "Generation of power-constrained scan tests and its difficulty," Proc. IEEE International Design and Test Workshop (IDT '07), pp. 71-76, Dec. 2007.
  64. Tsuyoshi Iwagaki, Satoshi Ohtake, Mineo Kaneko, Hideo Fujiwara, "Efficient path delay test generation based on stuck-at test generation using checker circuitry," Proc. IEEE/ACM International Conference on Computer-Aided Design (ICCAD '07), pp. 418-423, Nov. 2007.
  65. Hideyuki Ichihara, Yukinori Setohara, Yusuke Nakashima, Tomoo Inoue, "Test Compression / Decompression Based on JPEG VLC Algorithm," Proc. Asian Test Symposium, pp. 87-90, Oct. 2007.
  66. Nobuya Oka, Chia Yee Ooi, Hideyuki Ichihara, Tomoo Inoue, Hideo Fujiwara, "An Extended Class of Acyclically Testable Circuits," Dig. of Papers of 8th Workshop on RTL and High-Level Testing (WRTLT'07), Oct. 2007.
  67. Keisuke Inoue, Mineo Kaneko, Tsuyoshi Iwagaki, "Structural robustness of datapaths against delay-variations," Proc. 14th Workshop on Synthesis and System Integration of Mixed Information Technology (SASIMI '07), pp. 272-279, Oct. 2007.
  68. Tomokazu Yoneda, Akiko Shuto, Hideyuki Ichihara, Tomoo Inoue, Hideo Fujiwara, "TAM Design and Optimization for Transparency-based SoC Test," Proc. VLSI Test Symp., pp. 381-386, May 2007.
  69. Tomoo Inoue, Takashi Fujii, Hideyuki Ichihara, "Optimal Contexts for the Self-Test of Coarse Grain Dynamically Reconfigurable Processors," Proc. European Test Symp., pp. 117-122, May 2007.
  70. Tomokazu Yoneda, Akiko Shuto, Hideyuki Ichihara, Tomoo Inoue, Hideo Fujiwara, "An Optimal Test Bus Design for Transparency-based SoC Test," Workshop on RTL and High Level Testing, pp. 21-26, Nov. 2006.
  71. Tsuyoshi Iwagaki, Satoshi Ohtake, Hideo Fujiwara, "A new test generation model for broadside transition testing of partial scan circuits," Proc. 14th IFIP/IEEE/ACM International Conference on Very Large Scale Integration (VLSI-SoC '06), pp. 308-313, Oct. 2006.
  72. Tomoyuki Saiki, Hideyuki Ichihara, Tomoo Inoue, "A Reconfigurable Embedded Decompressor for Test Compression," Proc. IEEE International Workshop on Electronic Design, Test & Applications (DELTA2006), pp. 301-306, Jan. 2006.
  73. Hideyuki Ichihara, Naoki Okamoto, Tomoo Inoue, Toshinori Hosokawa, Hideo Fujiwara, "An Effective Design for Hierarchical Test Generation Based on Strong Testability," Proc. IEEE Asian Test Symposium, pp. 288-293, Dec. 2005.
  74. Kazuko Kambe, Tsuyoshi Iwagaki, Michiko Inoue, Hideo Fujiwara, "Efficient constraint extraction for template-based processor self-test generation," Proc. 14th IEEE Asian Test Symposium (ATS '05), pp. 444-447, Dec. 2005.
  75. Tomoo Inoue, Yudai Kawahara, Hideyuki Ichihara, "A method for designing hierarchically testable datapaths based on fixed-control testability," Workshop on RTL and High Level Testing, pp. 174-179, July 2005.
  76. Zhiqiang You, Tsuyoshi Iwagaki, Michiko Inoue, Hideo Fujiwara, "A low power deterministic test using scan chain disable technique," Digest of Papers 6th IEEE Workshop on RTL and High Level Testing (WRTLT '05), pp. 184-191, July 2005.
  77. Tsuyoshi Iwagaki, Satoshi Ohtake, Hideo Fujiwara, "Acceleration of transition test generation for acyclic sequential circuits utilizing constrained combinational stuck-at test generation," Proc. 10th IEEE European Test Symposium (ETS '05), pp. 48-53, May 2005.
  78. Michihiro Shintani, Toshimasa Ohara, Hideyuki Ichihara, Tomoo Inoue, "A Huffman-based coding with efficient test application," Proc. ASP-DAC, pp. 75-78, Jan. 2005.
  79. Hideyuki Ichihara, Masakuni Ochi, Michihiro Shintani, Tomoo Inoue, "A Test Decompression Scheme for Variable-Length Coding," IEEE Proc. Asian Test Symp., pp. 426-431, Nov. 2004.
  80. Michihiro Shintani, Toshimasa Ohara, Hideyuki Ichihara, Tomoo Inoue, "A Test Compression Algorithm for Reducing Test Application Time," 5th Workshop on RTL and High Level Testing, pp. 53-58, Nov. 2004.
  81. D. K. Das, Tomoo Inoue, S. Chakraborty, Hideo Fujiwara, "Max-Testable Class of Sequential Circuits having Combinational Test Generation Complexity," IEEE Proc. Asian Test Symp., pp. 342-347, Nov. 2004.
  82. Tsuyoshi Iwagaki, Satoshi Ohtake, Hideo Fujiwara, "A design methodology to realize delay testable controllers using state transition information," Proc. 9th IEEE European Test Symposium (ETS '04), pp. 168-173, May 2004.
  83. Hideyuki Ichihara, Michihiro Shintani, Toshimasa Ohara, Tomoo Inoue, "Test Response Compression Based on Huffman Coding," Proc. Asian Test symposium, pp. 446-449, Nov. 2003.
  84. Tomoo Inoue, Naoki Okamoto, Hideyuki Ichihara, Toshinori Hosokawa, Hideo Fujiwara, "An Improvement of a Test Plan Generation Algorithm for Hierarchical Test Based on Strong Testability," Workshop on RTL and High Level Testing, pp. 37-42, Nov. 2003.
  85. Tsuyoshi Iwagaki, Satoshi Ohtake, Hideo Fujiwara, "An approach to non-scan design for delay fault testability of controllers," Digest of Papers 4th IEEE Workshop on RTL and High Level Testing (WRTLT '03), pp. 79-85, Nov. 2003.
  86. Tsuyoshi Iwagaki, Satoshi Ohtake, Hideo Fujiwara, "Reducibility of sequential test generation to combinational test generation for several delay fault models," Proc. 12th IEEE Asian Test Symposium (ATS '03), pp. 58-63, Nov. 2003.
  87. Tsuyoshi Iwagaki, Satoshi Ohtake, Hideo Fujiwara, "A path delay test generation method for sequential circuits based on reducibility to combinational test generation," Digest of Papers 8th IEEE European Test Workshop (ETW '03), pp. 307-312, May 2003.
  88. Hideyuki Ichihara, Tomoo Inoue, "Test Generation for Acyclic Sequential Circuits with Single Stuck-at Fault Combinational ATPG," Proc. DATE03, pp. 1180-1181, Mar. 2003.
  89. Hideyuki Ichihara, Kozo Kinoshita, Kohji Isodono, Shigeki Nishikawa, "Channel Width Test Data Compression under a Limited Number of Test Inputs and Outputs," Proc. the 16th International Conference on VLSI Design, pp. 329-334, Jan. 2003.
  90. Tomoo Inoue, Tomokazu Miura, Akio Tamura, Hideo Fujiwara, "A scheduling method in high-level synthesis for acyclic partial scan design," Proc. 11th IEEE Asian Test Symposium, pp. 128-133, Nov. 2002.
  91. Tomoo Inoue, Hideo Fujiwara, "A partial scan design with orthogonal scan paths," 3rd Workshop on RTL and High Level Testing, Nov. 2002.
  92. Hideyuki Ichihara, Tomoo Inoue, "Generating Small Test Sets for Test Compression / Decompression Using Statistical Coding," The First International Workshop on Electronic Design, Test & Applications, pp. 396-400, Jan. 2002.
  93. Tomoo Inoue, Tomokazu Miura, Akio Tamura, Hideo Fujiwara, "A scheduling method in high-level synthesis for RTL acyclic partial scan design," 2nd Workshop on RTL ATPG and DFT, Nov. 2001.
  94. Hideyuki Ichihara, Atsuhiro Ogawa, Tomoo Inoue, Akio Tamura, "Dynamic Test Compression Using Statistical Coding," The Tenth Asian Test Symposium, pp. 143-148, ? 2001.
  95. Tomoo Inoue, D. K. Das, Chiiho Sano, Takahiro Mihara, Hideo Fujiwara, "Test generation for acyclic sequential circuits with hold registers," Proc. International Conf. on Computer Aided Design, pp. 550-556, Nov. 2000.
  96. Tomoo Inoue, D. K. Das, Chiiho Sano, Takahiro Mihara, Hideo Fujiwara, "Test generation and design-for-testability based on acyclic structure with hold registers," 1st Workshop on RTL ATPG and DFT, Sept. 2000.
  97. Hideyuki Ichihara, Kozo Kinoshita, Irith Pomeranz, S. M. Reddy, "Test Transformation to improve Compaction by Statistical Encoding," The VLSI Design 2000 Conference, pp. 294-299, Jan. 2000.
  98. Hideyuki Ichihara, Seiji Kajihara, Kozo Kinoshita, "On An Effective Selection of IDDQ Measurement Vectors for Sequential Circuits," The Eighth Asian Test Symposium, pp. 147-152, Nov. 1999.
  99. Toshinori Hosokawa, Tomoo Inoue, Toshihiro Hiraoka, Hideo Fujiwara, "Static and dynamic test sequence compaction methods for acyclic sequential circuits using a time exp," Proc. 8th IEEE Asian Test Symp., pp. 192-199, Nov. 1999.
  100. Tomoya Takasaki, Tomoo Inoue, Hideo Fujiwara, "A high-level synthesis approach to partial scan design based on acyclic structure," Proc. 8th IEEE Asian Test Symp., pp. 309-314, Nov. 1999.
  101. Hideyuki Ichihara, Seiji Kajihara, Kozo Kinoshita, "On test generation with a Limited Number of Tests," Proc. Ninth Great Lakes Symposium on VLSI, pp. 12-15, Mar. 1999.
  102. Tomoo Inoue, Toshinori Hosokawa, Takahiro Mihara, Hideo Fujiwara, "An optimal time expansion model based on combinational ATPG for RT level circuits," Proc. IEEE the 7th Asian Test Symp., pp. 190-197, Dec. 1998.
  103. Tomoya Takasaki, Tomoo Inoue, Hideo Fujiwara, "Partial scan design methods based on internally balanced structure," Proc. Asia and South Pacific Design Automation Conference, pp. 211-216, Feb. 1998.
  104. Hideyuki Ichihara, Seiji Kajihara, Kozo Kinoshita, "An Efficient Procedure for Obtaining Implication Relations and Its Application to Redundancy Identif," Proc. The Seventh Asian Test Symposium, pp. 58-63, ? 1998.
  105. Hideyuki Ichihara, Kozo Kinoshita, "On Acceleration of Logic Circuit Optimization Using Implication Relations," Proc. The Sixth Asian Test Symposium, pp. 222-227, Dec. 1997.
  106. Tomoo Inoue, Satoshi Miyazaki, Hideo Fujiwara, "On the complexity of universal fault diagnosis for Look-up table FPGAs," Proc. Sixth IEEE Asian Test Symp., pp. 276-281, Nov. 1997.
  107. Hiroyuki Michinishi, Tokumi Yokohira, T. Okamoto, Tomoo Inoue, Hideo Fujiwara, "Testing for the programming circuit of LUT-based FPGAs," Proc. Sixth IEEE Asian Test Symp., pp. 242-247, Nov. 1997.
  108. Tomoo Inoue, Hideo Fujiwara, "Sequential test generation based on circuit pseudo-transformation," Proc. Sixth IEEE Asian Test Symp., Nov 1997.
  109. Tomoo Inoue, Toshimitsu Masuzawa, Hiroshi Youra, Hideo Fujiwara, "An approach to the synthesis of synchronizable finite state machines with partial scan," Proc. 1996 IEEE Asian Test symposium, pp. 130-135, Nov. 1996.
  110. Hiroyuki Michinishi, Tokumi Yokohira, T. Okamoto, Tomoo Inoue, Hideo Fujiwara, "A test methodology for interconnect structures of LUT-based FPGAs," Proc. Fifth IEEE Asian Test symposium, pp. 68-74, Nov. 1996.
  111. Tomoo Inoue, Hideo Fujiwara, Hiroyuki Michinishi, Tokumi Yokohira, T. Okamoto, "Universal test complexity of field-programmable gate arrays," Proc. fourth IEEE Asian Test Symposium, pp. 259-265, Nov. 1995.
  112. Tomoo Inoue, H. Maeda, Hideo Fujiwara, "A scheduling problem in test generation," Proc. IEEE VLSI Test Symposium, pp. 344-349, Apr. 1995.
  113. Tomoo Inoue, Takashi Fujii, Hideo Fujiwara, "On the performance analysis of parallel processing for test generation," Proc. 3rd IEEE Asian Test Symposium, pp. 69-74, Nov. 1994.
  114. Tomoo Inoue, Tomoki Yonezawa, Hideo Fujiwara, "An optimal scheme of parallel processing for test generation in a distributed system," Proc. 2nd IEEE Asian Test Symposium, pp. 8-13, Nov. 1993.
  115. Hideo Fujiwara, Tomoo Inoue, "Analysis of parallel processing for test generation in a distributed system," Dig. 1989 Joint Symp. on Fault Tolerant Computing, pp. 128-133, Nov. 1989.
  116. Hideo Fujiwara, Tomoo Inoue, "Optimal granularity of test generation in a distributed system," Proc. IEEE Int. Conf. on Computer-Aided Design, pp. 158-161, ? 1989.

研究会・ワークショップ,テクニカルレポート: 159

  1. 小田智文, 井上智生, 市原英行, "近似訂正機構 E-IDMR を用いた自動運転システムにおけるフェールオペラビティの向上に関する考察," 機能集積情報システム研究会, 2024年3月.
  2. 王麒霖, 市原英行, 井上智生, "近似乗算器の過剰テスト緩和のためのテスト生成に関する考察," 信学技報, vol. 123, no. 389, DC2023-97, pp. 17-22, 2024年2月.
  3. 棟田陽, 永山忍, 市原英行, 井上智生, "言語活動を取り入れた授業における形態素解析を用いた「指導と評価の一体化」への試み ー高等学校「物理基礎」の知識習得の授業を例としてー," 教育システム情報学会研究報告, vol. 38, no. 4 , pp. 8-15, 2023年11月.
  4. 岡原康太, 市原英行, 井上智生, "ストカスティックコンピューティングにおけるレイテンシ削減のための線形FSMの並列実装の解析と改良 ," 信学技法, vol.123, no.258(VLD), pp. 106-111, 2023年11月.
  5. Jin-Tsung Wu, Hideyuki Ichihara, Tomoo Inoue, Tong-Yu Hsieh, "A No-reference Test Method for Video Decoders in Object Detection Application," FIIS, 2023.
  6. 髙妻珠希, 王麒霖, 市原英行, 井上智生, "近似乗算器における誤り補正と信頼度の関係に関する解析," 信学技報, vol. 122, no. 393, pp. 21--26, 2023年2月.
  7. 髙妻珠希, 市原英行, 井上智生, "補正機構をもつ近似乗算器の信頼度解析," 機能集積情報システム研究会, 2022年10月.
  8. 芦田満喜, 井上智生, 市原英行, "フェールオペレーショナルシステムのための三重系に基づく近似訂正機構について," 信学技報, vol. 121, no. 388, DC2021-70, pp. 33−38, 2022年3月.
  9. 芦田満喜, 溝畑亮雅, 市原英行, 井上智生, "アプリケーションの要求精度に応じた ハイブリッド近似乗算器設計の実験的考察," 第22回IEEE広島支部学生シンポジウム論文集, 2020年11月.
  10. 可児冬弥, 瀬戸信明, 市原英行, 岩垣剛, 井上智生, "ストカスティック計算に基づく ニューラルネットワークにおけるシグモイド関数の演算精度に関する解析," DAシンポジウム2020論文集, pp. 36-43, 2020年8月.
  11. 可児冬弥, 瀬戸信明, 市原英行, 岩垣剛, 井上智生, "ストカスティックコンピューティングにおけるシグモイド関数実装法に関する考察," FTC研究会, 2020年1月.
  12. 森川範茂, 青野優里, 市原英行, 岩垣剛, 井上智生, "ドントケア拡大を用いたエラートレラントアプリケーションのための多出力論理関数の簡単化," FTC研究会, 2020年1月.
  13. 行廣和倫, 市原英行, 井上智生, "フェールオペレーショナルシステムのための近似投票機構IDMRの拡張 ," 信学技報 DC2019-84, pp. 31-36, 2019年12月.
  14. 市原英行, 前田有希, 福田基, 岩垣剛, 井上智生, "線形有限状態機械に基づくストカスティック回路の耐過渡故障設計について," 機能集積情報システム研究会, 2019年10月.
  15. 溝畑亮雅, 岩垣剛, 市原英行, 井上智生, "アプリケーションの要求精度に応じた近似全加算器と補正機構による乗算器の設計," 機能集積情報システム研究会, pp. 1-6, 2019年3月.
  16. Hideyuki Ichihara, "Benefits and Challenges of Stochastic Computing Circuits," Proc. IFAT, 2019.
  17. 前田有希, 市原英行, 岩垣剛, 井上智生, "耐過渡故障を指向した線形有限状態機械のストカスティック数による状態符号化," 信学技報DC2018-81 , pp. 61-66, 2019年2月.
  18. 可児冬弥, 市原英行, 岩垣剛, 井上智生, "積の正負分離とシグモイド関数近似を用いたストカスティックニューラルネットワークの演算精度に関する一考察," FTC研究会, 2019年1月.
  19. 湯浅将, 岩垣剛, 市原英行, 井上智生, "スキャンパス合成に利用可能なセグメントのレジスタ転送レベル探索," 信学技報(DC2018-45), Vol. 118, No. 335, pp. 137-142, 2018年12月.
  20. 市原英行, "ストカスティックコンピューティング回路の利点と課題," ソサイエティ大会講演論文集, pp. SS25-26, 2018年9月.
  21. 行廣和倫, 岩垣剛, 市原英行, 井上智生, "MATLAB/Simulink を用いた自動運転システムの 性能低下故障に関する考察," 機能集積情報システム研究会, 2018年3月.
  22. 児島彰, 石川直樹, 市原英行, 井上博之, 大田知行, 小畑博靖, 窪田昌史, 新浩一, 高野知佐, 永山忍, "組み込みシステム技術とネットワーク技術を教育するための学生実験用ロボット教材と実験カリキュラムの開発," 情報処理学会 第80回全国大会講演論文集, pp. 373-374, 2018年3月.
  23. 久保田直弥, 市原英行, 岩垣剛, 井上智生, "周辺回路を利用したストカスティック数生成のための 信号線選択アルゴリズムの改良," FTC研究会, 2018年1月.
  24. 岩垣剛, 湯浅将, 市原英行, 井上智生, "RTLスキャン設計におけるスキャンチェインテストの故障検出能力について," FTC研究会, 2018年1月.
  25. 久保田直弥, 藤葉麻紀, 市原英行, 岩垣剛, 井上智生, "Stochastic Number Generation with Internal Signals of Peripheral Logic Circuits," 信学技報, vol. 117, no. 273, VLD2017-47, pp. 115-120, 2017年11月.
  26. 児島彰, 石川直樹, 市原英行, 井上博之, 大田知行, 小畑博靖, 窪田昌史, 新浩一, 高野知佐, 永山忍, "組み込みシステム技術とネットワーク技術を教育するための学生実験用ロボット教材の開発," 第6回電子デバイス・回路・照明・システム関連教育・研究ワークショップ, 2017年11月.
  27. 岩垣剛, 湯浅将, 市原英行, 井上智生, "RTL スキャン回路のスキャンチェインテストおける機能パス上の故障検出について," 機能集積情報システム研究会, FIIS-17-461, pp. 1-4, 2017年10月.
  28. 岩崎真弥, 市原英行, 岩垣剛, 井上智生, "エラートレラントアプリケーションのための論理回路の許容関数を用いた簡単化手法について," 信学技報, vol. 116, no. 478, VLD2016-128, pp. 145-150, 2017年3月.
  29. 杉野達美, 市原英行, 岩垣剛, 井上智生, "ストカスティック反復による積和演算アーキテクチャ ," 信学技報, vol. 116, no. 478, VLD2016-130, pp. 157-162, 2017年3月.
  30. 川嶋聖也, 岩垣剛, 市原英行, 井上智生, "精度切り替え可能な演算回路の設計とその応用について," 機能集積情報システム研究会, 2017年3月.
  31. 塩山創, 岩垣剛, 市原英行, 井上智生, "Zynq を用いた相互再構成型耐故障システムの実装," 機能集積情報システム研究会, 2017年3月.
  32. Tsuyoshi Iwagaki, Kohta Itani, Hideyuki Ichihara, Tomoo Inoue, "Impact of operational unit binding on aging-induced degradation in high-level synthesis for asynchronous systems," IEICE Technical Report (DC2016-78), Vol. 116, No. 466, pp. 23-28, Feb 2017.
  33. 藤葉麻紀, 久保田直弥, 市原英行, 岩垣剛, 井上智生, "ストカスティックコンピューティングのための 論理回路の内部信号を利用した乱数生成について," FTC研究会, 2017年1月.
  34. 福田基, 市原英行, 岩垣剛, 井上智生, "耐ソフトエラーを指向したストカスティックコンピューティングのための有限状態機械の状態割当てについて," 信学技報, pp. 7-12, 2016年6月.
  35. 三藤泰武, 川嶋聖也, 岩垣剛, 市原英行, 井上智生, "自動追従制御のサイバーフィジカルモデルとその実装," 機能集積情報システム研究会, 2016年3月.
  36. 石森裕太郎, 川嶋聖也, 三藤泰武, 岩垣剛, 市原英行, 井上智生, "ディペンダビリティを考慮したサイバーフィジカルシステムのモデル化について," 機能集積情報システム研究会, 2016年3月.
  37. 久保田直弥, 市原英行, 岩垣剛, 井上智生, "ストカスティック数生成のための 論理回路の内部信号値を利用した乱数列," 電子情報通信学会総合大会講演論文集, 2016年3月.
  38. 高森研輔, 市原英行, 岩垣剛, 井上智生, "連続ビット系列の動的共有によるストカスティックコンピューティングの高速化 ," 信学技報, vol. 115, no. 449, DC2015-89, pp. 19-24, 2016年2月.
  39. Hideyuki Ichihara, Shota Ishii, Daiki Sunamori, Tsuyoshi Iwagaki, Tomoo Inoue, "Compact and Accurate Stochastic Circuits with Shared Random Number Sources," ACSI, Jan 2016.
  40. 猪谷孝太, 岩垣剛, 市原英行, 井上智生, "ハンドシェイク遅延を考慮した4相2線式非同期システムの高位合成におけるスケジューリングアルゴリズム," 信学技報, vol. 115, no. 339, DC2015-56, pp. 147-152, 2015年12月.
  41. 福田基, 市原英行, 岩垣剛, 井上智生, "ストカスティックコンピューティングにおける論理縮退故障の 演算精度に対する影響," FTC研究会, 2015年7月.
  42. 杉野達美, 市原英行, 岩垣剛, 井上智生, "ストカスティックコンピューティングに基づくディジタルフィルタ回路 の演算精度と面積に関する考察," 機能集積情報システム研究会, 2015年6月.
  43. 猪谷孝太, 岩垣剛, 市原英行, 井上智生, "依存性グラフを用いた 4 相 2 線式非同期回路の高位設計支援システム," 機能集積情報システム研究会, 2015年3月.
  44. 稲岡智哉, 市原英行, 岩垣剛, 井上智生, "エラートレラントアプリケーションのための論理合成におけるドントケア拡大について," 信学技報 (VLD2014-89), Vol. 114, No. 328, pp. 103--108, 2014年11月.
  45. 大元将一, 岩垣剛, 市原英行, 井上智生, "複数のインスタンスを対象としたハードウェアSATソルバに関する考察," 機能集積情報システム研究会(FIIS-14-382), 2014年10月.
  46. 大嶺慶太, 稲岡智哉, 市原英行, 岩垣剛, 井上智生, "許容関数を用いたエラートレラントアプリケーションのための論理回路簡単化," 機能集積情報システム研究会, 2014年3月.
  47. 石井章太, 砂盛大貴, 市原英行, 岩垣剛, 井上智生, "相関を持つストカスティック数の演算精度に与える影響に関する考察," 信学技報 (VLD2013-147), Vol. 113, No. 454, pp. 79--84, 2014年3月.
  48. 森拓馬, 大元将一, 岩垣剛, 市原英行, 井上智生, "Dual-FPGAアーキテクチャに基づく相互再構成型耐故障システムの実装," 信学技報 (DC2013-90), Vol. 113, No. 430, pp. 67--72, 2014年2月.
  49. 高森研輔, 市原英行, 岩垣剛, 井上智生, "システム誤り率を考慮した実効歩留まり最大化のためのテスト生成について," FTC研究会, 2014年1月.
  50. 砂盛大貴, 大石卓也, 石井章太, 市原英行, 岩垣剛, 井上智生, "ストカスティックコンピューティングによる画像処理のFPGA実装," 機能集積情報システム研究会, 2013年11月.
  51. 石森裕太郎, 中祖達也, 岩垣剛, 市原英行, 井上智生, "耐マルチサイクル過渡故障を指向した高位合成におけるコントローラの設計について," 信学技報 (DC2013-34), Vol. 113, No. 321, pp. 45-50, 2013年11月.
  52. 砂盛大貴, 大石卓也, 石井章太, 市原英行, 岩垣剛, 井上智生, "ストカスティックコンピューティングによる画像処理のFPGA実装," 機能集積情報システム研究会, 2013年11月.
  53. 稲岡智哉, 市原英行, 岩垣剛, 井上智生, "反転条件緩和による低消費電力指向バス反転回路の設計," 第12回情報科学技術フォーラム講演論文集, 2013年9月.
  54. 亀井惇平, 松木伸伍, 岩垣剛, 市原英行, 井上智生, "エラートレラントアプリケーションのための多重縮退故障を用いた論理簡単化アルゴリズム," 信学技報 (VLD2012-136), Vol. 112, No. 451, pp. 1-6, 2013年3月.
  55. 稲岡智哉, 亀井惇平, 市原英行, 岩垣剛, 井上智生, "低消費電力を指向したバス反転回路の論理簡単化に関する考察," 機能集積情報システム研究会, pp. 1-6, 2013年3月.
  56. 松木伸伍, 亀井惇平, 岩垣剛, 市原英行, 井上智生, "エラートレラントアプリケーションのための論理回路簡単化における必須割当てを利用した許容故障判定法," 信学技報, Vol. 112, No. 429, pp. 49-54, 2013年2月.
  57. 向井俊矢, 上田健司, 岩垣剛, 市原英行, 井上智生, "解の再利用によるテスト生成のためのハードウェアSATソルバの実装," 信学技報 (DC2012-80), Vol. 112, No. 429, pp. 1-6, 2013年2月.
  58. 櫻田正明, 岩垣剛, 市原英行, 井上智生, "過剰テスト緩和のためのテスト生成モデルと故障の許容性に基づくテストへの応用," 信学技報, Vol. 112, No. 362, pp. 21-26, 2012年12月.
  59. 中祖達也, 大窪凉子, 岩垣剛, 市原英行, 井上智生, "耐過渡故障データパス合成における演算器バインディングのためのヒューリスティックアルゴリズム," 信学技報 (DC2012-50), Vol. 112, No. 321, pp. 147-152, 2012年11月.
  60. 上田健司, 岩垣剛, 市原英行, 井上智生, "解の再利用を用いたSATに基づくテスト生成におけるインスタンス順序と変数割当順序の決定法," 信学技報 (DC2012-49), Vol. 112, No. 321, pp. 141-146, 2012年11月.
  61. 深澤祐樹, 岩垣剛, 市原英行, 井上智生, "高信頼組込み自己テストのための耐故障テスト生成器に関する考察," 信学技報, Vol. 112, No. 102, pp. 15-20, 2012年6月.
  62. 亀井惇平, 松木伸伍, 岩垣剛, 市原英行, 井上智生, "許容故障に基づく論理回路簡単化における許容性判定手続きに関する考察," 機能集積情報システム研究会, pp. 1-6, 2012年6月.
  63. 三上雄大, 岩垣剛, 市原英行, 井上智生, "論理合成ツールを用いた論理最適化におけるRTLフォールスパスの活用 ," 信学技報 (VLD2012-130), Vol. 111, No. 450, pp. 61-66, 2012年3月.
  64. 面林康太, 岩垣剛, 市原英行, 井上智生, "色差に着目した低電力色補間回路の設計に関する考察," 信学技報 (VLD2012-143), Vol. 111, No. 450, pp. 139-144, 2012年3月.
  65. 大岡賢昂, 岩垣剛, 市原英行, 井上智生, "コードレスサイクルに着目した部分スルー可検査性に基づくテスト容易化設計法," 機能集積情報システム研究会, pp. 1-6, 2012年3月.
  66. 竹内宏和, 岩垣剛, 市原英行, 井上智生, "SRAM型FPGAを用いた故障状況対応型システムのリカバリ機構に関する考察," 信学技報, pp. 1-6, 2012年3月.
  67. 祝史行, 上田健司, 向井俊矢, 市原英行, 井上智生, "インスタンスの類似性に着目した充足可能性問題に基づくテスト生成," 第13回IEEE広島支部学生シンポジウム, pp. 373-376, 2011年11月.
  68. 志水昂, 岩垣剛, 市原英行, 井上智生, "テスト設計選択のためのLSI 設計製造コストモデル," 信学技報 (DC2011-47), Vol. 111, No. 325, pp. 115-120, 2011年11月.
  69. 深澤祐樹, 市原英行, 井上智生, "テスト可能な応答圧縮器におけるマルチサイクルシグネチャの効果について ," 信学技報, Vol. 111, No. 100, pp. 5-10, 2011年6月.
  70. Tsuyoshi Iwagaki, Kewal K. Saluja, "On indirect detection of functional hold-time violations using scan shift operations," IEICE Technical Report (FIIS-11-298), pp. 1-5, Mar. 2011.
  71. 岩本由香, 吉川祐樹, 市原英行, 井上智生, "画像伸張回路を用いた組込みテスト生成に関する実験的考察 ," 信学技法, Vol. 110, No. 317, pp. 43-48, 2010年11月.
  72. 邊見勇登, 吉川祐樹, 市原英行, 井上智生, "マルチサイクル故障に耐性を持つデータパスのためのバインディング法 ," 信学技報, Vol. 110, No. 317, pp. 25-30, 2010年11月.
  73. 吉川祐樹, 丸谷瞬, 市原英行, 井上智生, "ロバストテスト可能データパスを指向した高位合成におけるバインディング法," 信学技報, Vol. 110, No. 106, pp. 13-18, 2010年6月.
  74. 岡伸也, 吉川祐樹, 市原英行, 井上智生, "スイッチの機能を考慮した部分スルー可検査性に関する研究," 信学技報, Vol. 110, No. 106, pp. 7-11, 2010年6月.
  75. 武田英理, 岩垣剛, 金子峰雄, "コーン分割を用いた非同期インターコネクトの効率的なテストスケジューリング法," 機能集積情報システム研究会, pp. 1-6, 2010年3月.
  76. 宮口拓己, 吉川祐樹, 市原英行, 井上智生, , "ディジタルフィルタにおける故障の許容性に関する考察 ," 信学技報, Vol. 109, No. 416, pp. 63-68, 2010年2月.
  77. 藤恵里司, 野地亮志, 吉川祐樹, 市原英行, 井上智生, "SRAM型FPGAによる故障状況に適応可能な漸次縮退システムの実装," 信学技報 (RECONF2010-1), Vol. 109, No. 393, pp. 149-154, 2010年1月.
  78. 天野雄二郎, 吉川祐樹, 市原英行, 井上智生, "テスト容易性と救済可能性を考慮した歩留まりモデルに関する考察 ," 信学技法, Vol. 109, No. DC-316 , pp. 89-94, 2009年12月.
  79. Tsuyoshi Iwagaki, Mineo Kaneko, "A heuristic approach to detecting transition faults at all circuit outputs," Proc. IEICE Society Conference, pp. 54, Sept. 2009.
  80. Keisuke Inoue, Mineo Kaneko, Tsuyoshi Iwagaki, "Resource sharing and scheduling algorithms against variation of control timings," IEICE Technical Report (VLD2009-10), July 2009.
  81. 出水伸和, 吉川祐樹, 市原英行, 井上智生, "閾値テストのための5値論理に基づくテスト生成アルゴリズムに関する考察," 信学技法(DC2009-12), Vol. 109, No. 95, pp. 13-18, 2009年6月.
  82. 深澤祐樹, 吉川祐樹, 市原英行, 井上智生, "組込み自己テストにおけるテスト可能な応答圧縮器の設計について," 信学技法, Vol. 109, No. 11, pp. 37-42, 2009年4月.
  83. Keisuke Inoue, Mineo Kaneko, Tsuyoshi Iwagaki, "Safe clocking based datapath synthesis for the setup and hold timing constraints," 22nd Workshop on Circuits and Systems in Karuizawa, pp. 432-437, Apr. 2009.
  84. Keisuke Inoue, Mineo Kaneko, Tsuyoshi Iwagaki, "Adjustable safe clocking and relevant register assignment in datapath synthesis," IEICE Technical Report (VLD2008-130), pp. 23-28, Mar. 2009.
  85. 中島佑介, 吉川祐樹, 市原英行, 井上智生, "故障の許容性に基づく閾値テスト生成アルゴリズムの高速化," 信学技報, Vol. 108, No. 431, pp. 1-6, 2009年2月.
  86. Keisuke Inoue, Mineo Kaneko, Tsuyoshi Iwagaki, "A note on the number of extra registers in safe clocking-based register assignment," IEICE Technical Report (CAS2008-90), pp. 147-152, Jan. 2009.
  87. 周藤健太, 吉川祐樹, 市原英行, 井上智生, "故障の許容性に基づく閾値テスト生成のための回路モデル," 信学技報, Vol. 108, No. 352, pp. 5-10, 2008年12月.
  88. 平本和子, 吉川祐樹, 市原英行, 井上智生, "テストデータ削減のための必須割当に基づくテストポイント挿入法," 信学技報, Vol. 108, No. 299, pp. 121-126, 2008年11月.
  89. 怒和友美, 吉川祐樹, 市原英行, 井上智生, "伝搬支配性に着目した遅延テストのためのハイブリッドスキャン設計," 信学技報, Vol. 108, No. 299, pp. 127-132, 2008年11月.
  90. Keisuke Inoue, Mineo Kaneko, Tsuyoshi Iwagaki, "Delay variability-aware datapath synthesis based on safe clocking for setup and hold timing constraints," IEICE Technical Report (VLD2008-85), pp. 151-156, Nov. 2008.
  91. Tsuyoshi Iwagaki, Mineo Kaneko, "An integer programming for generating high quality transition tests," IEICE Technical Report (DC2008-29), pp. 7-12, Nov. 2008.
  92. Keisuke Inoue, Mineo Kaneko, Tsuyoshi Iwagaki, "Delay variation-aware datapath synthesis based on register clustering," IEICE Technical Report (VLD2008-51), pp. 25-30, Sept. 2008.
  93. 井上恵介, 金子峰雄, 岩垣剛, "データパス合成における順序制約付レジスタ割り当て問題の解法," DA シンポジウム, pp. 115-120, 2008年8月.
  94. 井上恵介, 金子峰雄, 岩垣剛, "高位合成における順序制約付レジスタ割り当て," 信学技法(CAS2008-20), pp. 7-12, 2008年6月.
  95. 野地亮志, 藤恵里司, 吉川祐樹, 市原英行, 井上智生, "FPGAを用いた耐故障システムの信頼性と性能に関する考察," 信学技報, Vol. 108, No. 15, pp. 19-24, 2008年4月.
  96. 井上恵介, 金子峰雄, 岩垣剛, "データパス合成における最小遅延補正演算器数の最小化手法," 第21回 回路とシステム軽井沢ワークショップ, pp. 623-627, 2008年4月.
  97. 井上恵介, 金子峰雄, 岩垣剛, "データパス合成における最小遅延補正演算器数の最小化手法," 信学技報 (VLD2007-140), pp. 19-24, 2008年3月.
  98. 塩道寛貴, 吉川祐樹, 市原英行, 井上智生, "演算規則を用いたフォールトセキュアデータパスの合成について," 信学技報, No. 482, pp. 51-56, 2008年2月.
  99. 竹ヶ原正晃, 岩垣剛, 金子峰雄, "C 素子展開に基づく 2 線 2 相式回路のテスト生成法," 電子情報通信学会 DC 研究会 (口頭発表のみ), 2008年2月.
  100. 森永広介, 岡伸也, 吉川祐樹, 市原英行, 井上智生, "無閉路可検査性に基づくテスト生成のための最適スルー木集合構成法," 信学技法(IEICE-DC2007-27), Vol. 107, No. 334, pp. 13-18, 2007年11月.
  101. 井上恵介, 金子峰雄, 岩垣剛, "データパス合成における最小遅延補正問題の計算複雑度とアルゴリズム," 信学技報 (VLD2007-93), pp. 25-30, 2007年11月.
  102. Tsuyoshi Iwagaki, Satoshi Ohtake, "An approach to power-constrained test generation for scan circuits," IEICE Technical Report (FIIS-07-218), pp. 1-6, Oct. 2007.
  103. Tsuyoshi Iwagaki, Satoshi Ohtake, "Analysis of fault coverage under a power budget in scan testing," Proc. IEICE Society Conference, pp. 53, Sept. 2007.
  104. 今村慎太郎, 市原英行, 井上智生, "チェイニングによる耐ソフトエラーを指向した高位合成のスケジューリングアルゴリズム," 信学技報(DC2007-2), Vol. 107, No. DC-17, pp. 7-12, 2007年4月.
  105. 井上恵介, 金子峰雄, 岩垣剛, "データパスにおける遅延変動耐性に関する基礎的考察," 第20回 回路とシステム軽井沢ワークショップ, pp. 649-654, 2007年4月.
  106. 高橋正太, 野地亮志, 市原英行, 井上智生, "FPGAを用いた高信頼システムにおける最適なバックアップコンテキストに関する考察," 情報処理学会全国大会講演論文集, No. 1, pp. 109-110, 2007年3月.
  107. 岡伸也, Ooi, Chia Yee, 市原英行, 井上智生, 藤原秀雄, "無閉路可検査順序回路のクラス拡張に関する考察," 信学技報 (DC2006-88), Vol. 106, No. 528, pp. pp.49-54, 2007年2月.
  108. Tsuyoshi Iwagaki, Satoshi Ohtake, Mineo Kaneko, Hideo Fujiwara, "A test generation framework using checker circuits and its application to path delay test generation," IEICE Technical Report (CAS2006-76), Vol. 106, No. 512, pp. 37-42, Jan. 2007.
  109. 川原雄大, 市原英行, 井上智生, "平衡構造に基づく階層テストにおけるテストプラン生成法," 信学技報(DC2006-42), Vol. 106, No. 390, pp. 23-28, 2006年11月.
  110. 瀬戸原志典, 中島佑介, 市原英行, 井上智生, "マルチメディアコアの展開機能を利用したテストデータ圧縮・展開," 信学技報(DC2006-43), Vol. 106, No. 390, pp. 29-34, 2006年11月.
  111. 藤井昴志, 市原英行, 井上智生, "動的再構成可能なプロセッサの自己テストに関する考察," 信学技報(DC2006-49), Vol. 106, No. 390, pp. 65-70, 2006年11月.
  112. 井上恵介, 金子峰雄, 岩垣剛, "遅延ばらつきを考慮したデータパス合成に関する基礎的考察," 信学技報 (VLD2006-64), Vol. 106, No. 387, pp. 77-82, 2006年11月.
  113. 井上恵介, 岩垣剛, 金子峰雄, "高位合成における遅延変動にロバストな資源割り当て," 機能集積情報システム研究会, pp. 1-6, 2006年10月.
  114. 山中将嗣, 市原英行, 井上智生, "故障を有する BIST 回路の故障見逃しと歩留まり損失に関する一考察," 電子情報通信学会総合大会講演論文集, 2006年3月.
  115. 半田郷, 武内直哉, 市原英行, 井上智生, "強可検査性を指向した高位合成におけるレジスタバインディングについて," 信学技報, Vol. DC2005-72, pp. 1-6, 2006年2月.
  116. Tsuyoshi Iwagaki, Satoshi Ohtake, Hideo Fujiwara, "A broadside test generation method for transition faults in partial scan circuits," IEICE Technical Report (DC2005-54), Vol. 105, No. 443, pp. 7-12, Dec. 2005.
  117. 瀬戸原志典, 藤井昴志, 佐伯友之, 市原英行, 井上智生, "FPGA を用いた故障シミュレーションの高速化," 第7回IEEE広島支部学生シンポジウム論文集, pp. 238-241, 2005年11月.
  118. 川原雄大, 市原英行, 井上智生, "衝突回避による固定制御可検査性に基づくテスト容易化設計法の改良について," FIT2005, 2005年9月.
  119. 岡伸也, 市原英行, 井上智生, "無閉路部分スキャン設計を指向したテスト容易化高位合成におけるスケジューリングの高速化," FIT2005, 2005年9月.
  120. 佐伯友之, 市原英行, 井上智生, "LSIテストにおける再構成可能な埋込み展開器について," 信学技報, Vol. 105, No. 42, pp. 1-6, 2005年5月.
  121. 河野英太郎, 前田香織, 井上智生, 北村俊明, 岩根典之, 末松伸郎, "学究活動で不可欠になったキャンパスネットワーク構築の一事例," 情報処理学会研究報告, Vol. 2005-DSM-3, No. 11, pp. 61-66, 2005年3月.
  122. 岡本直己, 市原英行, 井上智生, 細川利典, 藤原秀雄, "ホールド制御削減のための階層テスト容易化設計法," 電子情報通信学会技術研究報告, Vol. 104, No. 664, pp. 15-20, 2005年2月.
  123. Tsuyoshi Iwagaki, Satoshi Ohtake, Hideo Fujiwara, "Equivalence of sequential transition test generation and constrained combinational stuck-at test generation," IEICE Technical Report (DC2004-96), Vol. 104, No. 664, pp. 27-32, Feb. 2005.
  124. 行松健一, 松本俊, 松浦義則, 井上智生, "両性人口モデルにおける反応拡散方程式を用いた人口分布の表現について," 信学技報 NLP2004-98, Vol. 104, No. 583, pp. 51-56, 2005年1月.
  125. 新谷道広, 越智正邦, 市原英行, 井上智生, "テスト圧縮・展開手法におけるバッファ付き展開器について," 信学技報, Vol. ICD2004, No. 213, pp. 35-40, 2005年1月.
  126. 越智正邦, 新谷道広, 市原英行, 井上智生, "テスト展開器のオーバーヘッド削減のためのテストベクトルの並べ替えについて," 電子情報通信学会技術研究報告, Vol. 103, No. 668, pp. 41-46, 2004年2月.
  127. 行松健一, 松浦義則, 井上智生, "非線形移流拡散反応系を用いた人口動態モデル," 第5回IEEE広島支部学生シンポジウム論文集, pp. 235-238, 2003年12月.
  128. 岡本直己, 市原英行, 井上智生, 細川利典, 藤原秀雄, "強可検査性に基づくデータパスのテストプラン生成アルゴリズムの改良について," 電子情報通信学会技術研究報告, Vol. 103, No. 476, pp. 13-18, 2003年11月.
  129. Tsuyoshi Iwagaki, Satoshi Ohtake, Hideo Fujiwara, "A method of design for delay fault testability of controllers," IEICE Technical Report (DC2003-38), Vol. 103, No. 476, pp. 25-30, Nov. 2003.
  130. 新谷道広, 市原英行, 井上智生, "ハフマン符号に基づくテストデータ展開機構について," 電気学会電子・情報・システム部門大会講演論文集, pp. 602-608, 2003年8月.
  131. 小原敏敬, 新谷道広, 市原英行, 井上智生, 田村秋雄, "ハフマン符号に基づくテスト実行のためのテスト圧縮について," 信学技報, Vol. 102, No. 658, pp. 67-72, 2003年2月.
  132. 新谷道広, 小原敏敬, 市原英行, 井上智生, 田村秋雄, "ハフマン符号を用いたテスト応答圧縮について," 信学技報, Vol. VLD2002-88, pp. 35-40, 2002年11月.
  133. 松浦義則, 新原智之, 市原英行, 井上智生, 田村秋雄, "自然現象の非線形移流拡散反応モデルの人口動態への応用," 電気・情報関連学会中国支部連合大会, 2002年10月.
  134. 松浦義則, 市原英行, 田村秋雄, "非線形反応拡散方程式による骨改造の数値モデル," 信学技報 NLP2002-18, pp. 63-68, 2002年6月.
  135. 松浦義則, 脇本淳子, 市原英行, 田村秋雄, 谷口吉男, 大春愼之助, "赤潮プランクトンの生態系モデルとコンピュータシミュレーション," 信学技報NLP2002-18, pp. 57-62, 2002年6月.
  136. 岩垣剛, 大竹哲史, 藤原秀雄, "不連続再収斂構造に基づくパス遅延故障に対する部分拡張スキャン設計法," 信学技報 (FTS2001-84), Vol. 101, No. 658, pp. 53-60, 2002年2月.
  137. 三浦友和, 井上智生, 田村秋雄, 藤原秀雄, "無閉路部分スキャン設計を指向した高位合成におけるスケジューリングについて,," 信学技法(VLD2001-107/ ICD2001-152/ FTS2001-54), No. VLD2001-10, pp. 109-114, 2001年11月.
  138. 市原英行, 井上智生, 田村秋雄, "単一縮退故障用組合せテスト生成アルゴリズムを用いた無閉路順序回路のテスト生成," 信学技報FTS2000-68, Vol. 100, No. 473, pp. 203-208, 2000年11月.
  139. 大坪裕, 井上智生, 福井祥光, 藤原秀雄, "内臓プロセッサを利用したマイクロコントローラのテスト高速化に関する考察," 情処研報 (DA91-4), No. (DA91-4), pp. 25-32, 1999年2月.
  140. 佐野ちいほ, 井上智生, Das, D. K., 藤原秀雄, "ホールド機能を考慮した順序回路のテスト容易化設計法," 情処研報 (DA91-7), pp. 43-50, 1999年2月.
  141. 高崎智也, 井上智生, 藤原秀雄, "無閉路部分スキャン設計を指向したデータパスのテスト容易化高位合成," 信学技報 (FTS98-114), No. (FTS98-114, pp. 65-72, 1998年12月.
  142. Hideyuki Ichihara, Kozo Kinoshita, "New Redundancy Identification Method on Logic Optimization Using Implication Relation," Technology Reports of Osaka University, Vol. 48, No. 2301-2318, pp. 55-63, Apr. 1998.
  143. 三原隆宏, 井上智生, 藤原秀雄, "L/H型レジスタを有する無閉路順序回路のテスト生成法," 信学技報 (FTS97-75), No. (FTS97-75), pp. 33-40, 1998年2月.
  144. 細川利典, 井上智生, 平岡敏洋 , 藤原秀雄, "時間展開モデルを用いた無閉路順序回路のテスト系列圧縮について," 信学技報 (VLD98-287), pp. 147-154, 1998年12月.
  145. 大竹哲史, 井上智生, 藤原秀雄, "回路疑似変換による順序回路テスト生成の一手法," 信学技報 (FTS96-42), Vol. 96, No. 5, pp. 9-16, 1997年5月.
  146. 市原英行, 樹下行三, "含意を用いた多段論理回路簡単化手法の高速化に関する研究," 信学技報FTS96-6, Vol. 96, No. 519, pp. 57-64, 1997年2月.
  147. 井上智生, 細川利典, 藤原秀雄, "組合せATPGに基づくRTレベル部分スキャン設計法," 信学技報 (FTS96-67), No. (FTS96-67), pp. 73-80, 1997年2月.
  148. 山崎浩司, 井上智生, 藤原秀雄, "状態並列に基づく順序回路テスト生成の並列処理について," 信学技報 (FTS96-69), pp. 89-96, 1997年2月.
  149. 宮崎聡士, 井上智生, 藤原秀雄, "テーブル参照型FPGAに対する故障診断の複雑度," 信学技報 (FTS96-43), Vol. 96, No. 291(FTS96-, pp. 17-24, 1996年10月.
  150. 大竹哲史, 井上智生, 藤原秀雄, "回路疑似変換による順序回路テスト生成の一手法," 信学技報 (FTS96-42), Vol. 96, No. 291 (FTS96, pp. 9-16, 1996年10月.
  151. 高崎智也, 井上智生, 藤原秀雄, "組合せテスト生成可能な拡張部分スキャン設計," 信学技報 (FTS96-41), Vol. 96, No. 291, pp. 1-8, 1996年10月.
  152. 四浦洋, 井上智生, 増澤利光, 藤原秀雄, "部分スキャンによる同期化可能な有限状態機械の合成について," 信学技報 (FTS95-55), Vol. 95, No. 309 (FTS95, pp. 31-38, 1995年10月.
  153. 道西博行, 横平徳美, 岡本卓爾, 井上智生, 藤原秀雄, "テーブル参照型FPGAのテスト," 信学技報 (FTS95-29), pp. 49-56, 1995年6月.
  154. 藤井昴志, 井上智生, 藤原秀雄, "テスト生成における並列処理の性能評価," 信学技報 (FTS94-77), Vol. 94, No. 492 (FTS94, pp. 25-32, 1995年2月.
  155. 前田裕紀, 井上智生, 藤原秀雄, "テスト生成におけるスケジューリング問題について," 信学技報 (FTS94-76), Vol. 94, No. 492 (FTS94, pp. 39-46, 1995年2月.
  156. 井上智生, 藤井昴志, 藤原秀雄, "テスト生成の並列処理における性能解析について," 信学技報 (FTS93-64), Vol. 93, No. 459, pp. 39-46, 1994年2月.
  157. 井上智生, 藤原秀雄, "並列テスト生成におけるテストパターン数について," 信学技報 (FTS93-39), Vol. 93, No. 303, pp. 1-8, 1993年10月.
  158. 井上智生, 米澤友紀, 藤原秀雄, "テスト生成における並列処理の最適なシステム構成について," 信学技報 (FTS92-17), Vol. 92, No. 283, pp. 17-24, 1992年10月.
  159. 井上智生, 藤原秀雄, "テスト生成における並列処理の最適スケジューリング," 信学技報 (FTS89-36), Vol. 89, No. 249, pp. 59-64, 1989年10月.